-
پروتکل E1
پنجشنبه 10 اردیبهشت 1394 13:53
-
پروژه ارسال و دریافت سریال با vhdl
سهشنبه 8 اردیبهشت 1394 23:21
jj
-
پروژه ارتباط سریال با ack
دوشنبه 7 اردیبهشت 1394 12:54
-
فرصت شغلی
دوشنبه 17 فروردین 1394 23:18
-
[ بدون عنوان ]
یکشنبه 17 اسفند 1393 16:49
مقدار x را با بسط دادن پروژه می توانید افزایش دهید.
-
jتاریخ امتحان دوم
جمعه 1 اسفند 1393 13:21
ویژه افرادی که امتحان اول ندادند شنبه 9 اسفند پروژه ، تمرین و فعالیت اضافه حداکثر 4 نمره داشته و جبران نمره برگه است 10 نمره پروژه اصلی به قوت خود باقی است. نمرات در سه بخش 1- گزارش هشت گانه وتکالیف 2- برگه 3- پروژه 10 نمره ای آخر هفته بعد اعلام می شود.
-
برنامه زمان بندی برای تصحیح برگه ها و ارائه اولیه پروژه ها
پنجشنبه 30 بهمن 1393 13:25
شنبه طاوسه ذورقی یک شنبه حقیقت سعیدپور شجاعی دوشنبه بهشتی امینی قاسمی زاده سه شنبه افشانی نجفی شیرانی چهارشنبه علایی حامدی لحمی ساعات مراجعه به دفتر استاد 10 الی 12 و14 الی 16
-
پاسخ سوالات امتجان پایان ترم درس VHDL
یکشنبه 12 بهمن 1393 23:37
-
VHDL
سهشنبه 7 بهمن 1393 10:05
آخرین مهلت ارسال تمرین ها 10 بهمن ماه آخرین مهلت تحویل پروژه ها 30 بهمن ماه
-
امتحان پایان ترم سال گذشته (شماره 2)
یکشنبه 28 دی 1393 15:24
-
نحوه ذخیره فایل های کیدنس در ویندوز
سهشنبه 25 آذر 1393 14:08
ابتدا از my computer به فولدر MNT می رویم /mnt
-
فرصت شغلی
پنجشنبه 24 مهر 1393 06:53
-
آموزش شبکه
شنبه 19 مهر 1393 23:30
در حین نصب access point بهتر است اینترنت قطع باشد ....
-
Linksys E2000 چیست؟
سهشنبه 15 مهر 1393 19:27
نمایی از پورت های پشت آن:
-
یادگیری شبکه
دوشنبه 14 مهر 1393 10:53
پس از اتصال access point ، سویچ ریست پشت آن را چند ثانیه نگه داشته تا دستگاه ریست شود.سپس در مرورگر خود 192.168.1.1 را وارد کرده و سپس بدون وارد کردن username پسورد را “admin” زده .اگر عمل ریست به خوبی انجام شده باشد؛صفحه زیر در مرور گر باز می شود.
-
پیگیری خرید برد FPGA
سهشنبه 8 مهر 1393 17:45
-
فرصت شغلی
سهشنبه 8 مهر 1393 00:04
-
vhdl code for division of two fixed point numbers
سهشنبه 25 شهریور 1393 18:15
dear all, I need to do the division of two fixed point numbers.Can anybody help me to do coding for this. eg: 1.75 /1.5 1.75 is 0000000111000000(16 bit representation) 1.5 is 0000000110000000(16 bit representation). 1.75 /1.5=1.166667. =0000000100101011.
-
تقسیم در vhdl
سهشنبه 25 شهریور 1393 18:10
VHDL code for dividing integers library ieee; use ieee.std_logic_1164.all; entity division is port ( a : in integer range 0 to 255; b : in integer range 0 to 17; quo : out integer range 0 to 17); end division; architecture structure of division is begin process(a,b) variable var : integer range 0 to 255; variable...
-
ماتریس در بردار
چهارشنبه 19 شهریور 1393 16:03
LIBRARY ieee; USE ieee.std_logic_1164.ALL; entity DCT_beh is port ( Clk : in std_logic; Start : in std_logic; Din : in INTEGER; Done : out std_logic; Dout : out INTEGER ); end DCT_beh; architecture behavioral of DCT_beh is begin process type RF is array ( 0 to 7, 0 to 7 ) of INTEGER; type RF is array ( 0 to 7, 0 to 7...
-
پروگرمر FPGA
سهشنبه 18 شهریور 1393 12:45